From c24e73a530396b3dde28645e37378c14c6f6c0e5 Mon Sep 17 00:00:00 2001 From: Oak Harbor Web <86542871+Oak-Harbor-Kits@users.noreply.github.com> Date: Sun, 6 Mar 2022 02:46:38 -0600 Subject: [PATCH] Refined monthly service contract - Simplified contract by removing the need to repeat the monthly service fees in section 2.5 and removing a section about additional edits being done at a rate of $150 an hour in section 2.6 (c) 2. Edits are part of the service. If you want to add it back in there and not include edits, you may add that clause as needed --- Monthly Subscription Contract Template.docx | Bin 39002 -> 38982 bytes 1 file changed, 0 insertions(+), 0 deletions(-) diff --git a/Monthly Subscription Contract Template.docx b/Monthly Subscription Contract Template.docx index 18be172ea33c042d33556f7e24ba10d16e3bb41a..c97cba66399a968aac7c6e335d144489a6357c77 100644 GIT binary patch delta 24737 zcmV(=K-s_AumZ-g0fAxrQZ|qMT@9OuX zZ$s;S`-p{+?M-ag_xSHeD;^&G+vorO-~RRH?zZx-XWuk^8$XzQs8y9JeOd(mn1>;}Pq)2mJ3NYq8~-Xd z+9a@V;9(rF{$V_`e}jnsT92XJdyvM5&WHBqK(z-91S_m5n?tGh9;*2PXSFd<{Xql4 ztTsk!JZz*bi)%h?q}t|4t%r@IZjRJ`*hm}TG~Wbpf5AQYaq0(iJA$vl)rYwq{9G>H z!@vtWaz>8pL@OAx+DwMA9q;D@W&}^ABh1ywy+>$$nEMm%f2xyY6#w_5W#D~`pMRe| zk5m6Bo{#?|PXuMwFCH;s;y^3^5O5dv!uP_Nvq&*~{?O6j$5}GS^=*r|p1a9?Hw&dC zy6hrPhSOEGnvU8pm6=-2W?GebCr?=BpIN# zF+g+7OA`EKe~flK#)t75Lvb9ZBx)q%h*QCFob|>kCFxjkGMNFwNi><=Ym{2DuOIMP zJF;hXm;ySE$nQ6)MmpSTp4p?t)#DK1OW-dT>(QLYjn-R}wHp*L?lD%3P+24oA2*r7 z%w7Psn~y*Ct~@`mM=s0=(1QVlGdcnM9{>ga&`17@f3D+MGo&#`pFuJj`I8m?UBGYk zj|)4ndjOQRmf37qRgkdoD)5yEueRcUaP=cdVUzyvN7broHk6u{UNZR9Ub<1{7aILC zz&{}$N0-5tr}4*VwSXyqytdunkH)?iIo{G=hDRUh`K!U-;Wr>6O08DMX?+BERT^#h z9N%zef8)TB53~-i;!B*X8B9c}R_W`=k0O7b{_65+=EoVgCmeW2U3{JTew6uowT$R% z{Iap{hHy1p*kjo9R*f!yNR~$*X~Fn+b8j0}quT7Wt^D5BETz+~smA^GR;)cYys9>v zZQZEnhleK`?OHv5bb6;@sqJmU$G>bDUTJsge~m^LCzTmqG3#d2=;BM)!`Do;(^1k( zm$-qqyfc6MW#&=_f);~l<)&cL^(SJEjyJ(mbAm8Bbr3bXLFOrDI0p-Fsm;#<yE0Y>h3MwF}5X%KWHo%{W0^2-);fE#)Qy-3`ugc+N6 zbb<7P;!EHBMKW1r11`rW!oUB1)T9q$f2KsWfK2(YVfHfe#Xv~%9{Q}Ho)3MRNby4- zcHj&r{OjW$|Knkg;}Pe#f8?IpD}RZr5$7~@e({(L;g7!mGkH}tW-dgR`u8bZlBT<@vqF95(x-E4FC{s8gbBkI0`iJ**uDty%_Jw zk?SIze$vrh2UzyYaDwb>Kfd@Ye{Ez#ukt#kua#YLRHh!nwFux z7F6X;lV8c8ES3Qxx3C@9qvYqY%k2OMT=;OXbv1#%owu}`LWjrI$HT3SnBZ)6IagxvYuNV%h5x-Yd3*ZRNJ^MLze6{O=T5lUI)2N%bpI3Q{m53H9XV+*|HMP^O7B$eWp=b>vaL)@X zx7anFoR+;Hl|sDFvYMF1FpC$(lV_U3orL5gWB>BMa{t(Z2W$>6n^oAtUSV_|%DVRC z+V;l0!oua~uY4S_$S3(X;=w#*{uBh1F^b7gFC%6*uy)dre`Mp>j0fEDwuuQbIoOGr z^^Q`;WOTbNxo%Z;&1}Ua*IR`4x9K%_^NctIF$ zUj>|_QWApL{9m9gyF3CKF(tLwUMyT^OjP5~7asr1%k1Fev|IKAB#SMGT*NuG-Zrad zS3*zjvopyYe`UizVn5U4yqBP(cI&OSsbK`0EEagh?6x!`ndtvlNBfLOSs=07u`i*w zx{aFl=4gCtr zI2m`qlyi8whXC`hc>o6PKFuqku(Wy5F z#&S&xe+y#X1t>9d=kJs${gTY_Zf5 zb_g^NwK_fpuz=S^KEr)=fVjQIX!;#zgeI@l4V?IiCy6r{M7}5nv?=@U2S3?6bSzzb zM~@P-qsSi5;BGr)=C7GEaw4V?M}WP=8v#;Xe|nsNGld6yxI!NGXWeIAs*Mh30C;GC zOd%6D_*pj~Lnvg~1&a&g>v-%36SThqenzv5;dQqavdCAO^+r{}jNgNX` ze^k1SwpDZ)ZrieMw`u68vXtAh{Oz=5@ukd`<=xA=O}6RcmSNuTlWf`31(w#-s@c)n z#VQAN(}D%&J*|ap+pKc1b34%64)COiss7}AaSks^evKh(4RrQ2E)oHsU8+K$#-%3U zOMi*=Iqc{ZWWabc%M~ZU`?b)qa~nxnf6D1Z=-9t> zR>&GvOv6yx1|{jIliytwrQKVSyw zPG<1Xx$<%`l3L=JEMsO>8=ZE|GSmVc?y9QUHZjTmp69l8mx^4bxNGcA#5_7)nDc)GtzXqg&O;f2+Gba!XfR4N;4!aOC-4NH~cvWw3X$FydDZ$(FA@KgSEBV2GrDN5r@Wd;CBD2RoX;zY05pe}4@AuHfGh z?QuGQw0j+LMAs<8cW?3{K-H|Zn>9gmpM2Jye~DhmlJNg|U`NYfVy|GU?EoaeMupX? zwVK?}%!Nz!wP&{rhLd=Fb2>%m7)YlEfP*lCf|NSq(GBMw`|}Tf8KlNR&z|$Yuy;rC z_aiiz-r@T;>cG{@SstEjfAyvPjbe3VPyP%0GZh&0r|3=egM}ZEeYnE-FB>h!xLK#@ zY6d!b>e!+fZn86fsYn8hJL4$rYvh!oW@O0hg7CIy$F{;_vqhB(>9>BHOCi76ZKb7j zELk^uOdbn?`;u=pP$rt}(ea&hN$x!lktA)fSY)*IDor%X`OeQan? zriI6alJ`mr*G|~?f3IfW)CQIG*e7H&gLw%XT1dfa80_JT_=O%C|2!W37CuW#`?CP` zcRu=5X0mZ0>2?6D*VIPSAam^j0G|i|yIBAfv}uLxgAR zqHkhgIf5r_85hb5YA?vb=SzfF`0bi|HqIHL6i#sNgnW-cG2}&8^b-_tdAY+vS`f`* z3A#cD6U)pZe|r+8<0+Wr{$*%2q4Y z_RhV&`RFer9OLvFYvPL?k(#J!{b5f(HCgZEg*|LA>PF`ke52iIG zpt`Emn~kno2zHPNs_RLg2RSLo(aV5pG%PaI2^ z7%TGVxdZ;yTBFf6%A|K6;C~b2f9z?K2ux~7{-w^gR_ z!~uNwe*k>DJd&GwhaAZ5XaeELna`J= zGse&*N}vWp6NJq5@yZ0_OfU~B+IY4UooO0Yt6tN}Bvv2j%pTAgg9pyFO(2FP9Hhe@ ze^ehS5fR}TnIUjSfhYJ&iWQ;14918h6Ohw=QL|Ni0YWNJ3*Pv3cy>x(%0PNu(N%!; z1EjwdNcZQq<1uXDap0`CO-iyILVX)psEtP8_ug_21ZzxD(98})f9w!bBR;pOvBL+3 zX{{mdGsnQbzz5C%8t@g^gmf$p#W)PhVc~*|rz^U$ zj$))38y=K_*M`+H>g~F;uJZ%D-VPKHiJK7jrGf509U zQwqb!;I7L6sb92Weau4GDE{=?m{2C7soqqZRaKGK%-zw}Smgpl zK`*KRrCF>3{H4O80JKGGcIrKnc5E^qjT)JJqniJi~y#>Z?( zSRe9nz#||8KRy5E{mj3iCRIi@jse{34~bB4tLD9#%GqV>v;Qzz*WJ{L9Y)1h6*c0%8aT@J!Z zD-_E0lQWyjjB>W{Z-i4G%b;dQS;V_M7rVSqaacg2C$y+CbCxzBYi|Fbr0^Sw*&JCCGydFkTlm3E<)L(7$8$}`N&A#ltegBshz>({Q~RStt+SjAwbe0e@FoK(-?E-T13~yk71Mt z&=9&rOfx5A#&P;+f~25y^2*ZBA-;qtS^StU?)Yu}L|kDop;8MT0QS!@g+{pJkn}}Z z2WDI@zPD5FDyDvjm3k}G$q(EK`;ILd0o4w)=+?dZ{#}+0+GW>sNS9rXVs&;)KSX(L zWC_V4LRDtgf6`jX=oCccUXmJ(VGX0ezahV3N}TT%uBVutmRc(`1(S&KzRjvb@|7K0 z_en|Hr{v*BK7=R%-VN1KtCqHx9+o{=^FP1#y1gOO_U{zrrt-p;3p&OEK8ThD7~=z!Tr@CnTz5$U%4jgo*^{$4 zb>jeve}sra>z*Ols~s;y`&aY1%zR&8CHstZkJ0@~;hpo;$R8hO_(_x=jH|@hq7PQS z^nBvfodYX&uz6N`ym1Od*#XGAmOM<4ilP!p`?5P22=+6}i$mMx4Yl5FRLypwGXd6{ zsMV~saB+|~?j>)Gq?0$!sG1oXD0p#dBv z6Mloxn0le_KpsqJ@6KDs7-~D-#yrmLp0Se;LTV5GDUqk&b9!(gyr#k#WObrQ%w*+cb3Z zNH%1}(i=-}f;E?V73d29Io$S_6fzge}?=T z17__N8G7t7b^}Yq#|p_cxGxwb#JAZ`^BePFyMC`LhNiVpZ9k;8Kbd|%mQKIlDc;CU z$4=Py85iOqDfiof@VRgIEoeEnK59aJ>=SEbHQUhG>RdI$IDsBGA*X@z6zgL#ne_j5U@z@W@IvY1>$x%g&g-mh|BGDTy)d2D9XvO$1 z91Xoxz<_XEToT#c;YWmoq%_uUFe>%ljeJHFSjYbl&gDE%#hCum#_37RfDk- zfj+3W!*midjGr(qWZ`l=Bb3S3zeptS zkh7T+egJ_A?S`aX2TR zW_%g&_kv!>9noTSGM3%tLBJ9)Th%%MZt@l?tSNig`TU(CX|zTxSV$*M8OtD1lgFf+ z#1U>HM-|BNm@2*|QWp#uE8*yOg`XEbkoJ6w5eyaGB74Oxdw^G9f3aEd8szpV_8HjEmUBal{M-%lK^Nr$7_ zI(ERwh&)3_o?ae1ZLYB|JCB0`lhzipxDpL!D`(;)?76>;B|9qKV&(_H4j#)44pM$y7o$tR)&1d@c%k{A!FfVbpCgps)U!WEE(p;)?4>SVxMJfHb!W=)vS z7yuM!NmXOktcl9AI&)#K-L*Q~4gD=k%&#tu$)(rtSfpr3f1Yv;c-(P?TLMR*C*j*l z6#3Z7s!Ay>T2;}yhP3rz_mjD((lM8FpPf4P$e{>v?aFpMj4p~X2eHUNfR&xkphW@6 zBiRNB#Tci4QdB(x$x&*ZJGh znb43En}YT$fBVB_795!BMnKWt1z-w-?4}@V7eF?p%QjUNNrVZI#~sm}GjlOZ{fW7h zpo>kTrgfG5Z0o&`UNTiWFWF$L=}$gnDFMt%$Es_s+QBmUq)c8uKbeLN789$aEMQy8 z4%z5*4W(^$@(`-(R$Hxh4`S$>u|pcM+9VHfZsdYdf37*&D00}e)$~BxXL2zySE6?- z4NIK@{DJ&}c0=^_IvZG-h3t-1RXZxdudMv#HIE9**?}bZPOTn`5LbJOjZ{)iJoXLl z&dXTWj9(WLC_|j;rlIIHA{^P2R;AVIs#WDcoZgB7b|^4dCfbxu-idt;8DDiwaZuZ# zlp#zwe@xxxdGaNw4?`pEJEeMj8yS)_0$!R^$&j9G`T?+wgimbjeiD;a047$~3RUP;p+^9FEH z^CWc`WJ;EZ)-SQlM&(O3OTia|x-pQS=;FKafANMX@3r>*w!9`XUBU^R_RRAkGyn6l zZw>~hKiGGz_lG%P+W8OG?|u0?WWx*AH~+Z!lgTt)H!p|Uxo(!BHcF>s8J(uI)aDQ$ z_%zg}%cK1?2-NCLwQ5xhq1_EtueJurbc8#2ZyDUGw(6>B83lc|(J-2|ZVOBL9&qcR&q}Ay z<`Au*&gL*>w^EiJq70%m>J3%XTZhQICq)$bbTVNwlut9erxKRK5Seu_5t{Lw`c2hp z)ay01;J#PeO}N<5UmtT1xfoB0xzZ^(e+3ybc2TUcBw=}z6kl#*XvtGWGWAflRx?|= zYIX|F)~Z(1n`#?T<`CQRgjiEMsY_Vho&b^>j^`mpy6wxzb6HPc0Vxu$my|j4k{*y5 zX)tbiR?yLktItAzwc64{`3@xg+tDTM|4=s9y6UvakWP?PJ_{`qOL=zh)?A|f8`Gq|zatca%kLvdp zmgU0G<1&j{2i{7&q#X#)F74H}Jo7z(x<`ZDE3fKvti;7t;2r~MQl1Y(Zo(G!N_b#h*4oY5>w!F;{TyC6b607zTA;OiNPQT20w~jj zQdG#sDYAtVQz+i47(jVnD88=c*R@DY*XcGP5ElaA;t04LUeP2(T3PW0 z@faCZQ_L%-Kh!dU9f=-U4A0}}c$2tF1xIIZ1BOy-+Af!4zgox41fvXy_dvR^KKxB^V3RYr5QUdStyY|}1h%7$S z%WDUXXJJIP<~WCUOg>YpQ!8xBur=w$e1F^1ZR4Kgay$8t6ot; zE19__nEXmCel1>z50xswdAjl9w8 z3vVtMK0oXT_0bizOO~Ci2}reSYO|d;0UgZIkA|VzNmDv=bgq6De;ceDrvQKzogN!R zRWcMU1@KC{*(?TMsdQ_(W+%}Af82$IEuW8KVLY)H5q z&7FvTPb$*q!$Q`9e=)pYLBPxxe`e?)mn30?va*ySxn{ZMp+ zV>dQd#RWNsx;_5$AOFSrE8An2K74oGe1_h3Iil6HjFxVwNBO+erqQxmnP$-kIpSV& zgnUUHGF1Qsfx&`X>`bMd=*FjdOGw9WxO>gnI|aUykt77Q&~<%kmbyj6$VEv}RN}g{ z8#0stmH^7=f5 zTmlteD>K{cuq+qESBm?P#e&yvJ2?wBeTkBtQ&Crte`^0!xi{~aI%38ZC-a2_vDT00 z7y3j8SD>6_7*O^h(qU5{?%M0WR%^F3?L}`{f*cZ`G&Sbzxau_vw

(FQ3o@ZZDAd>cu6?Vyt~xZSGP>McVn=w6CiZ<*9+bG~Zwian628GYJircS!%nfNokZNAs z#-OmGkS(#0u*>5PkAW-@*z1rwe;HxyT@qmG2hJ6dNJ`BS5vkPI1)Kh4+7n{$FGxSHUb48K36hClCNp4&)F8&Qxn;Q z_-X)IKKC%d3vZnH?j*(9nH|hsxS#eLe=o$HafJLx;vLaDoV`>7!7G# zPrbFc-TzsJzQEsgMT|a=YzJHO^%2bXED*ueb*0`dlnH8dO|#Wi@#?`CfA#=q zl`q`jI|$t&(*s_p>?Sw8%_}ck1T7(FWewS}=3%oV(lbybB5`Ks1iW&zp{3YZL~p_u z7)|0)wiRfAd*4pD$d0#X;D@NN5iufB)(`@O)uACGJzZjAnjNX1X#r5G=Rp z;Q~YsDR%uDU~C!iJNKPtEbqoAe=16`1Rk}-83N}i;g z#%A>gNttDtS4}rMR>#5?9|wByWb{D3(8Yc%J|UP?m=Q}#`1vxEWQ{;<#A1*D?0msw zqAIe`kX=TgM6+5OfDX#l%b&xo%9SJ_bX&i@TlK$nvgt#$n2N}4EvhV)ty;=f6(y$m zX)~OJYb1Qsrw$zve^zJ6@U2C{x9pHYa*z)Y%VHqJtZ`@J^Vobz%UG{BznqXJ#=ST^ z_;FLJzzYS(OV}CdZ~n9lAFC^kre1H$+r6;b-pR-I&vUHxhduq&WW8QbIuG)9t>4$q zhdpzUha34QWC^fV+g+ojmZkO0s+b4A4{A9kd~II z=~#Gf?<_p~M_kksMZ&O@LU}rGqJP9EtNebBR1=(EwYQQc{rza#LDMJ8C1AR z()0S6P+TB|6_|M`=B%k&)vWJjjg5W8pPIz@^Tqck%(5|iE~9zw3-*w;s)CSzh3lB& zIx3=f*J@p>e=BWlcU$q_?Wm$OEJf+)g)VgmRCz8`QRS0gW2H$HES4QHN`Q33Xf@2X zB5fr8ewrAi4ROT4rvV0Ykn>?9YUtJWF=H8Yga{PkEXQJ&Nqxx}`$XR46*~E{g*f;x z%$%eMv1sOpd@~S*h0Ddl#Tfn6+@FaJ^SFaHjf_Q=e~kNR_aHG0;dw2IrHMnpS+LbB zJmUmt54v`I7gHr+2+Ta>Zpheu~ z(_|aqf3dOe{WZ5M!b0iBW`wIH4u8W(Y!q)4`tjT)wl=P?(Gm*)Ma*^Pj!0$O@H^mY zWeFGhtj_{}&MRzSPwl{|FmrNsYdU7fs;V9J)#ke$7H;3-Zll#wWXmAED^2{B_xZEs z1VFBTNxZM6;$3Rps-vT{4@)@H_US2|I>^Q?Y(IW* zTRc0?rEZHq1oSDwQ(G#c#z5B*t!~*N?OT>kW=7?0awc_2S!*#U98X?80K&`49G| zf8M#lh8Ijf?V0C8cG0J+!>_%*!7hO&{iv|sxqkZ1=$(J5ur7>nelcXHy|doX#0M*M zzxkh+eRD9t$9rd&FqDzJsAs}e>myT+8PE^?D_qjLz0)4fpuc&^f>)bNzc{=6HZ=R} zvVZZVubq8jwPR-WhUfSW3+G64>*?Q4e>J%2TmSN6U{+vOx_Le@&EE#eHGIe5$6#ol z!77F(j5X|CoPT2KF*7X~XoySK^shbh4>Nh@6RRJy|M;figg&vxG5oH7>uWmAu6GVk zozW=TDa;cFJs*Bz&12Y>^Y`#;ANI*Sql+yZre_YBdH(MgKg6~6F&p-Vr|^;ve{6`> z|C5=l{S#BF$GBiv=lT+C+u&Q~f_83vfHh&kayq9UmnPHty#c(HS^bMMn9{dCuG?al zW}oJIuEW->FB>MT4DND+E7V`GVcn(-K2F1A0lrr=0WqSCdxt?c9L>VTuE>K&19K!Ug{Ny@#^_7IV&e zR=Q|Oj_uwR=)Z$Vp&5U`f0`1C%YeiVdTSOw_@;kd?^d7T6JPpp&*=|#ZhkrKeSzIM z5geqa3kCqp(8wG*u<-9@cK|{Lv7HtnE2^(O&pua8yC!9EBtPI)2C)?wK2iUL+jht~9E7G$-*d$Zxf959Cjrj0|5QN2QD{A|zWziQ9E!*^O*TLP{2<(rRU*KWkP4)PmMtMt@3+ zZy3Lzjq!-4>eD?$kL@tlvh}%>GbE_~8Ks5W?(*B7zQGZvIyqyr{$OHWS*TVJVs-J<#tlJ;x z9NQ5L8~BSEe-9rIqSdb6QQMZZ5runO+t-|r?BL2r2;>XU{^oHaJAfs6b71BB19Gsi zD2Ua{$Aes5>1b80b*R(%q#(zS*XLznSbUa%%b=%E{q%TQ~rWVswV-RdjqdO;W(cFe@>0IWwk7MD=6IhvBNLBHnsqMJSfJpG1ina4#h1dDtmJT)PsH|Zf z-Wyi}e+W3)e&Ou^CL=eVKz351TbeKr2QV~7xZ7^2VDy&KtZ8QTpb$SL9m_O+qSmFZ zb4@25bKV^M2wNR`1tKR}VzL3dvGIP2jtRI6)23k(fLxb1N{AoD{RjhJ;y?&_Y5@S8qL&R8sT9h(vsn(*S~;5cyr4tvYdl>O}7UWAEyg(^iu3tEh4lyUNM(Z#bz+ zl>m|TshlKbJ11xB)LsZN8083wNXV@3_BHnP_DQzC?ioqQ;9%#+!pl`00h-aMf2aHF zp6;*NB_L~N{+F>&vhOQ;mOj6Zf@}PE$(k5Pue6xY*I)47C?_L{`>vhLE;?ileQVZG zyF2Q)ouTvW05KmmMY8p>UA-c%XcY#L3+n>O9)b^melzj|nB{#0pjv%@9e{dnDBW%* zIjp#nQzOO4>)NpH(}6lY-W0EHf3KCld+qu`o@rH(&@ztVI`}a9w3%>Sa zgd|UJ-ZH0uF5~F48(K3!zrt~}7frNS%-ztRlBP{Kbm`9h(B()Wrhs!f5D{N<4HZ}_ zd>AIv3S76I#9jOXJ$MoYpkk#5Tad>0%>x0ZGWx{blawH@$G4$7duo!#f6~P=q0y76 z2~g1nWV!azz>VpSUs8fJf?ydCd0ra39>>L)(!&oIsfaeIPx@2PI=%`fa`Xbg;I1`S zgoD_&#OUk`tEHC~-(>yfTZ-^j|yK)lsk0t2aB9wX09E61sYY(3j5nZ)fkgV4>;;atEnyIIUrCR6A6Z z{ggM-u3#bbC43B6KhpPk1@RLQ?bF7v-yb!~bWzP7$?*_s{BS~dfB%KAAg$ZGNDic{ zEwOnJOkY}Oxn1jXUWAzDS9AB~gn2u$SNAB(jmsGT(SrSspl4y;ywd&_{HqV1ItdvvFEUwnFl?LV`i=8tkx0 zrB+aU{t-yrjvFYwh~bCnb<_9zE=#wIo$EC1UZekQ0ly!2f8*Q2Z8itZ z7SgaPY=$2}169}ZSFqvX|B2c6ps)hbjV1Q`10bjnAn@mNHNk;)p!@R~Kp<8~j6RdI z^BES}XY04E0fp-ad_OY7Qv^Fj0wkDaMdQG~60@YkX+k1e;&9KnCC(jC@i?H_aIEvQ zH-G1&-j5fKe|6!!9liLw^Y#NS;L|tfj(zD|Tw2Z_;4%Vj&~Im#IG{G17LN7S{`6HE zDCey+xIBOV?rZ>-0B3ls$IWIF(d@Q*-A=C*glP1--Oiu~>cQMZ)OyWP54J=ueTX+) zEZB|pPd*eb@hlFe!JeSNSS->mx&GxFmk;rSpV= zZX)LXAcRgf7A31k>H&p!PVmy`w%6|7sYV!CTjlbsl6RELu=GM9i3LU!^a^M z{Cyn45&Wn3E3s|bNL598$rZFYmSuc4ec;0JAWjE2$&L~_EQjXEdZMj((R<`0cdmGW zui$xcN>A?1+!*Dh;E$08y@a`mlI&YmfoUX#m&mW&k4l{W-b0D|jRYbfIDfSEU_Lf8BUFhil3xcK_--((wx}q;tJxRO+;_d4o6F zcJH+391Pe`Hel7WV1xJXMoh?k#uaHN)`#=6-|YeYap4R;p7SLXrQzVixjbW2hx#*I zyLkWhY@izqJOQhBTJLv8qkeS*8BZ!mcUs9t@8OgS*yyKZ7icrY{xymL;H+OLe=m*q zpdG*tl3s6}4r+~VN&Pn)gVT1igKlXDFaKd7yE~Aaa=lr`t1?&8>2(n$iAto_l51`< z${hX;eQ^={sIsBSq#W2GBR?UZR^h-$dKH=Kn_P6)ZgljlDAnZUXCFN~)>R<{=%SVG zcFXZ9bZv^b2$;DPURwPK9oxTNe-5^JAPg{8xE;;%THRzdnRAUP>GH(aRpryYA}?51 z7xX%InS6E2#Q^KGlgKr z0oK(lvO*;i{8t#Qw%=iI#MsADvTGOZxAZ0nc+4Os`mH}_4I22Ilghjk_)rukBuNzL zy7sw$H1KrP=r$Uis?s8zwpzVrr(IoIqLuNMhxM-8V;^~7V zs2ilZZ?eCfn!WX&P@ju5erNfX^TJojNsuvPofsjiXAju|<>h9QABtqgoe{BV*cnphsv@`Fy z63R+6aHofpJWd`cjA&vgM4q{!KE`E4ykTbRrk_ix^R>r{GZ^QWAV*+ZFn|~ejOQk| z_(D#sm=qg$k*?A}AL?=qJSSiQ)1Po#0o0Pmc}cop9-ct)^$)N=xO>H^ar{y;lt+quZ1$vLP|6A6QLg9d<8g%K zK4cky64+y5WXgbrjvA1VfH#Yzgz2-4m?R=6i53rLn<@xM@`?vL^8MqCV!&F=ZW6So zII&bfG9E{v?-`-zpONS$?o@$wV*=k(Z;u-=F8Eh)?j$u3e>;S?cFB3+o6%sj;h7K-}mYvYNn+AY_JpsrwT zTMj_{!c}5~7U1cyi^mxN#5ZIEy2-8$( z`t+EZWqJ(yy7@veqnAS7vmolMf>HeoMTv}lIZ2A_DrgjCmZm%qv1k$vCK%%%>FSZ& zGX$-ex^ktE^Gix|fq6g#YYy8cMI#sC3ty zVt^v+e`ylJOGB58^<$~7J5I}A=Yorem@k&uhLLg~EGU!G=yw6S8&+H!mzk635~co< zvQoy1*Py3@QzTAQlA7=U??^J_I0^?p(1aN|?>3?OxV*4ExH^|-xM@HciSN#m#h$@f zPWd;!cBwYdBTL)3jMX>Glhjhvf9w{1sPBb`0ZVkEKo+zv`F<>rLQiHF z6W_4gjaI#d^M!+ne*kKQE%}JoRLWs9HH^jyi6B!nq3m3t9CQU_Gp}3koNcNJ#+`>F zN6Xa!qL+q$dZV#>R?C!|FmfM|Ni(1d= z*`q`EribbsRf=_b0G)(&kO|L1kRKbZmEUq?#{feFQka324;o8o5qx|ET96zRLzHg=a0b< zI%Y!u(B|gXomElriZ)C}yML_i)w^Z2b&cu$Z>kjDmwQ3el#NAumD{(mVKLX}A(841PE_lQyg;8uoHheT zj{a$=qx0zJcYeshv9}r~@BE|nf9S)!Eyc-N{w#))*Z-lrKU96M9bxjNkFIQEiT4N3_WWABmGc9gy9I>Lm9cLo{(Q{; zWXlCtBsZv7NBGv2FDh4K=^sFCk1hdYbA`WJsabKHQgPxlj4%z71GL4ofaMGV%?hz)0flY)c;Z&!WS z*{Z{EiTbU3o9)!YHQDtzO!2evhath8{-^XWdX8eJN=M_tBvRF)VSr@jjrjvQLy+Q1 zFjrBk(Hv75Bq;HxV&UbR6M8#IX~g7C(FE@9+U}>4=!qG|9XcrphZ%UlR;?=!JfhXh z7x%V}nJWsi9A&$ehqnh2JTJl%R+C{)hO}2qLquaa;3jahQ0U|~l=XX$%$%uCZQ`I> zSj9Rm$GTa}-=**E0SWev6Jt!n^0X?G`emEd&I9`@s;y2Tr}^BQAn%6C;Dzn%W9eO| z?{kd7wxOfKtkqsYiXz)|Zme~RKlms~taGz=_qn*S0OJdurdiCPy5W@zw||ju6>IhMNh^d zKZBpbG~Qvsyo$dqn$+TM8DuN?z3VfjxJAZ>(s8ir!6!{*q)ePt;wm*p#m|2TsL{M{ z)u5lV>`{;**sSlKDWA#3Gr9&d;l=RJ?%KH>B*3Qnq|86=xl-5MWz2Hzn&FK>{jh_pEddk?gMbUEsqob3DsZd#|CyUbN8#V=C|CfF3~I+%H!aRybpYKYBkj6JO7Ui#Rct`-m@5Mn*upFbX1 z_yQk%=~v|(&3ryTsqOPYS3LZ4VO$bk!oC!@2EIt<9n$J6glDh!O0>qmu@|=8zj)_} zG-Bx${3E_6JV&|WZ1%h8V9m3_LfF7BXqcGK$^AQ3w?u}sHKv1mbU2iy9J-A%CfBm` z(#E?=HWElYAa>)gM}0T~(>k%)EfFWM-U1er3`*jhl8J}K-76Y|)gL=`3wQe8^|o-F zq3tNHm=2aE6*eSyW8jMDmczojgXo1S^}?4_CTW)@HsW08dqR>zo5I$f^bODd`T&B60N`lJ z#q6V)#%&YzgG@SNbk)h&*cja~*gJkq(Hrf-({!`z6}X4#P8Mj29gVy(Ln%>jIfgW= z{yZH57jnbc}s#n)Ht^PvWo6_U)z(EEwz^%cDR z%7nnm|J4xoY^=HJAjY=MkGG>N5UY?=B5Ib(!JC!(mO+%+5vDc&()9}>WMuIqzsU;VP|H`(Xg11W9FpiQISwZ!&Q{5DvqggxGVxOW||=}y=c|U?qCS$g_clw3b2ZXOX40mWQBkEdG3St zlkCQqPr6kM%WPajxzOluR$qPH0u~N#2TLHSXL^z6xXokYH_BxuJk0RUUY+|81@R>N zY?!tc#%7~u3feOTgf*ngOqhR*D{nMJt|# z=!(v>GK!1v7gqmgH_i;yU`i>XqGXjx!Abb&0+5^q3D;YoV*c;wWjqF!{LEGKm{CxQ zpdLg)pIp*-fQ)Dn1|HMg6aHvOt1t80GD-;VbmTA(RwM<9m=_siq(mJ>lHsLmNV^DZ zk>eBSR&PmX;BifRD|-Td4+ru zQ|C25k&|-xWkq`jp~FGggC~QFq5BH^VLJ#;$%+k+O&;W*95+Y2{jJPzxgFp#u{Hv9<;VZI{h)h2(r)xXV`N>kiaWUF zAnCIDU=@$l9aDv*x^(WJmp~B6W40E1Zqi^x{D(B08fqjvgd!cxYu$>3Jtd>y99=gK z>F16+dsF8S3|ld?Vtu9 zt0qg}pI~C7LQ!~ypCZccJXz(X2&RABLJoF6)W3c;zrvtATLHE@Y4 z!_VL4Cv4jF9A+R&k+J3J4&E!$(bLnM`AS;}Q1ZrzVARRaiEK=prNnJ!AEsiHEcc9G zdU%6t%%GA?qI#ai*U0WD{eCGjq?>GMpR(l{&?@WmNW&VVkQL^UI)Y`tE(i1)EZ!fK{6l7Z~{^T*q?~AMR7_wGrn@E_+Lr7XY(KA<)g3(2zOEPf+ zU}_iW@(`10#jFx+h6JKXwGF$9(N7-2CE@l3`GR12l?H!mEed#D@A%WK)~+x8t_sU9)&Dr&!1mC=Xf39-3Wyqe80E41`RI{S=~+VB`jar zw=919&3!=OI3qgp!zZ^0Zf`kXQ%DQo3p2b7v*w01VMYjPT*aL|NAwSOb_K%+DRJ1U zL?dRw^O0pr>fACQ%fCK&55d!>J4dWl{91uwVP?o#JLjU?Cg~U2;)>yV-PiJ}h!QPw zK=^VwPougjQ*KY5ciM8KHSl7{6C}8V%!1QJtKJCNr+xP+=v}o2haWhi{P|K3c;Nl}>`RQ*VA`?=(eIQhN51I%`tGbBRYuIHX`I;62Pcy& zV8Y!eLdiB<_!jAzd+8U=uXvqy=UI1Q-LeXooqUeT5rcyD-TSmX@xaG$`-%KOk7MBK z;UjQiJ4KOr-}hU+p9bs8DW@Q?DwuURe|_ERaN_&m18*48$Jt!f{<8|Xax@gVdE+zx zTH`0Jo^rF0Il7xE8n(A-{lFCB4QG-PPvwIh+fX@3Rb_7kAOGvLf+_2R-cPLv0O1=p zH^0>HWb^5;xH&e{eoGa%Exo==NYXe`gx&r(H(G_bdp-victl(yyewqE7xUvTsE;YB zE&Q+4u8%w1hY1@q#R}*U+h%*eFWo3ZqSB;O^JnA1y&Lt($D&Ci39IMBy#rUY-c{mo zdsVwS_W7`NB}*Ho6JYgC+=$?40(cwR!bSZ;W|z@wo4E$0+DGarhQcDFn=y-4 zwjxj_qdj7=Z_rjGaQdhHWlz#Gf@wLssX%(cYz>+`KE7T+lMHf zb!Es^B3#uq*9|<{B;X_`A?u>hFMQvjr}IH+vASJlh!+}*$C$%|>~&DQp}r0$1FWa^(ZOKdY;rxk!fI8G8Tf)!(#;?57}WN-gO3o^FZq$XFuv(ib-M3q+ioxTADi2b&G+?MDZFnNkSYMkQS9%;Q2 z=AmeHnfF4I64+nrCfdE^rBRjNXv1P6-ltq8w0AGoJ`5OuHnw>?oN#|6ZjwDzkPe>W zP`V2I(GxJ4ot3-GU&9UP`)V666JuYy(G-u|=h|)g2&gf$lbn&^UhV3FkuCS79?t3t z48`wBKBsZxlCT5IZ$GJl-HL<46_d@mpDw^s#w1`}2u>r9z6%2K{6g7fB)If54KU=g z#Dy1mihPfQaEjCH8;2)?W>NC1*`6l2&`vqJHMoTH;aCLH%tqF?xTT%MXxn>lO#`{b$Vdoa^QJQF=#8ksLQX11U6lMr`{6JNPzKET8Aw0uk7rG?D8HCY%|NOQNHJC({~X$G^U$LTM? zkWcEfi(y6<6!}Xu4Y3w%u8@1F*TP}1ebgz{rIt(?um zLIRH(GjVztKPb8ug?ky*Uoxea^z+4>L?3<7XhiYZ$R({R%DUuKm%D35=8@Pk_R1ro5?_Cdq47_8L@kmYe=) zM7NfY5=11<{|$R7HPA(bH?d}Ak|SXbx3vjYK3t#fr@@ofYhz&wJ#Lw}c)~pBD|`5N z#1#8nbsxu8b6j$120!~BU?Q84Crm_vjf|;*rNqVm;F0~Bs%SY3?B;}24VBdnSXvPe zp5yLcyrW&h^L+m9@10-1~QX(HEW$A>F>mW1to}cgCx8@Vl?Q+5z5fkwh>!DZkT)pjdgjd*O zO9kbqXx^j|&NF*1Geklu^AzVe%ogi5>~JDK07cRoXpA z|LzI3xf@KK|1_e!UD$r~a@3G+HBnJFFrM;_tX~=f%%h_xc&Z#W)D%kT;=Iw*c+h4P zK{c{%dTbwvV~u!3-yJV8F+S`{*jLaOBPetHTef%2QCCUO%DmC7cG3t*063bLWRsjh zP(eSQKV$#dVMRNoUA|eDSgPSZUbZ1vjqWsGpA<*}g6E1+ooZ**AlBRZQa|wagIAFV zeW&_N$&^-}I$gHW`B!&@0XZ zP+|*2MSr=~3c?FW7qu$8D+5UpE4j_P{_))oUGW{FP!?pQkHoX{O2=VC+q<+Jd0Kx( z5?7WnNe92$QM%Zi<+2Fx8B6hCcY-u_fC~r4(`QajdA19+^IX`0SrOc#srnC*{(cS>JGbn3A+W#%8V0KSuJTaG53<;^I4xjzNpZ z-9M+@ol9d#=>#+VXsqR#e+IUF*{ylMs>#+5@DseLh7tAfZ}O*}4G93#gTMZ=HF-Ay1%vOOIcRcc8)5gH-g)( zp`@h5hEvfY-=8%;z_lO__1!X`f6@zE9fz85uTX7n!#4QW--bmtB4EN}YU_Or4yUFH zn25&ddf($CA*+hK11$yQ%TgX(8Qk*lk&uJFP{_}KRA3j7D2VGOI*#a8roPBsy_p)C z%-fOUulhN3wOZD3jEnT{<@5v5Ya|e$U}BjSVwRI0xA%1olu4md|`E1Dnr0( zQv}l~RnIBvMH4--p-6NPWJigC;(M5`8_KxX6pt%1aigxFB3*(&Bf630mFY_A!MxYT zP0|hicm_&H?bM+{g!T^Zs@;|0jzc62UTAstzo91P&)RG{CgY4u>#fPgkb~$)mF^}_ zv^^o;IKj|=AAqF{9dYbD)0tK+4N7Kg#ZCF945iuD(}}XuZCsh;GA&8A79xVu()>>= zbpBLI9dgc0uuTHiC}gx;>TgHT(g>&Pg?oXzU*js4aUDJs_TpKxYHjD>(9 zFY{aQU3z|y6y*VJ)_m?M%SOoQGRgGC!XRNDXkQX!Grtl!_`9LqKxaT|!yGqfHC>>( z-y!0&nhEe>&stXz`t**ikpU$aj)iG%i+N2CeH2+_&LN zTfv-@8vuKpJC#$r@4d%2%y+d4ppSyKkJ;&N9FIJmD1wO@uH|CBvE~ZWf zKhbx8?|BL4S;ju0I_i1|R}{ouJN?SJb-%0YQNC^YPnyEufa)8HsaYAN_IE#0=98j0 z?LztfhF(6k_~>1IMF$xRfh0?Y2DhRfZn_)#crk!sLq;u6R?ceur+fYysNV~55F;Ua zH@u!KMs(tX-0`(cL)t3C9H#zAcXKWZF z_c*}foioaR9}{MlLUu{Q;*#^~80QrDu-MT7?9^xY{+uUZ&zVT5X9^-+{MnhzD3wnB zR8qGHeZ77?7el{^qh{_m8bFuuw9LOln=1n9?*2BOGKxXCq%V?nJW$9D9mRGUBsC;~ zsU*}}S7dO;J7K=Z8vWpR5NSMpPI(TF0Lqf>QDNu?6Eh%HG$9XqXRUk3Z{;q-%Jn9S!Wy-Z76$LuT#wMt&FuS&Sz}KIzbx_1JDCU#gCZ8qntD|_7#_Cyv1gk-^o4;Ug{S59$l(H`=+rMlb z;-&SACwzeUAhp0S%ki_eb4(#QprqSlDJNDN9_cmlSgh(YoO))R*tdNELj}c<}f7f@S1+Ss5Li_$|aI%<45tE) z=$?qtl0;7s>I((xLT^i`F9D~8&qiq;zhcKse!m7!%aPD>nc}l)w|W$SdDxoHZo?~n5&AQ}iHEGYW zH-*zCj`I!f`R?QP_x8&7+DpWUkg^=6Q8=%(Aydc74q0m|h;^)bD6~KIvw-Wp3C7=~ zYvcz3S9vTanFno?e;?(s22EPHq=o+a$rSx!saKanMhE-m;9c+w2C$0pK}|7Q=a%^v zZl_pi&Zj}#ALZAKrI-~xyKjw0X(BG_u7UW?S;5%xgihMibnzGGfA9ZG!swblW6-VF zLynC`wnRF30QQ=!V0GfoOOXB$Xvm$xL@*dgw%9W_MC^B-$W~$LwNgx;DOi|Gg1%8- z@Xl6GO1hdRRIG1rF|lPilQPf(Tcm)o;+wwnL}iQe=ex`@VrpdS+Bp_5+oEkHb_0Xn ztHNg*Qola+hG$b+dQvEbiAulkMVLn6&Asl7CcQ@lnvKgCmks|dhQ&0EUdHwsB27yN zY}!ciyme7K2V@P|QGxX~A(5HQ>X21?{1AZZc_w}w$jOI; zJ=HOE`}?Xg7XupStv=ElpVCLzOiPuMx8|u0?A$9awQWMh{!(>NtMve5@Qcc0Ycb~E z^U7F8;v7;o1x|iP^yy@-5xk)PcW4QxtRP_gPk|py2T?~j)dPD#-ckHFYej&ATf=~Z zBY4&2|6g$gw?mjH{+mU-`WIgP|IzLLU!em(K*Ztaz`|)_@Je9cS33fRzFINxRhk&W zlLMGHT@)Syc1vfa_}`N6{|(X#ZcG=)x%2z~J_G=H`Z-yHr80=Y!clmb|7+_F_H zJQLVGgNfolQ-t9wet*37>i_PM6SzKuk@Ek?HzFJy-v2_p)}9l<=NY2#q~Ld%%qV`~ H|M>n7%h4d^ delta 24725 zcmV(vK#Waf^-APOe&$tak;3nmkH#NS;9;rLxuQ5E_$4}%epB6y$S_*YJJlngie zRc^F#=v>3YIAHDFe`xB2G5@t1L%s7L^>^)et<8a|_ZSFPSXDQN((XJ|;~mawW1!l- z27*~_j8wnhNLv=yxZg~+He=nX-{-jTYW!5hqF%#xMD}NVq4`31a(bS!16h6D}Xz=4S9pvh!MO@9i^uFu4 zT9RCLu_sf2ay4L?=_l}?d1B@_kEkjob_9pWo+vYg;ycrs%m52lFTNLOa==wfGLUqD z>c#+#5iiN`f73Bq$r$fOD+)e`jw5yj$8lGHRZH@*^~vN0h{o}FdZ$sUDO}&- zvrgj`-Mio z2=PzENAX3tOr_Co*+tk^ZMEI1YUbTwE7o2ZUeg+l zmSNV4!^0ExR<%~#I-^~;wbr)blV7$BueRE?e|o)xlgbURS~aU-cJQUE;j5O`ZmZd) z3k2W|?=0Sak-L<`pm{%DdKsE@g0YyR>yL5Q+%SqyT_nw9r~W$1FbYI655?6`j1}5| zWw?<}G;)D8=%MR)gbcbL<-d=j^b0*cr2j{MH}F{+yjn{zfJRQYAHHr~`!h@d2m$#_ ze~O~>kVibc;zu99Tb+J)U@_DBW}Tj2e6h|344;a{vxQ0Hlue^%TFNs``J-`uHt6Zb zVAqVK0VA`|dtVB(OldtHddZ(;fT8!D5$9^R>xA9N=YBsL|8m3};D#KR@j8Z})o~x3~!Yp?B&mg9WZe?9;^k#S=D!KL)|i^i@hCT!=6Ag6rfJo|FGZ zKN^9znEAQi3m5$0bI0+Ki;HjH(r?)WGhF)=x?}u!34dV>Mrc)6QZZS$EO348e`2lG zYOcmjhl>kL;bz936BdfmvGk_h5m$Gq5d(U@(NO9Mr-?`6|H-OG2?5oW1__uo^1$i$ z=Z>F3y_!xxdA=yW6jN`vn$7ynQ+g!%m0MG)0RgH30>Vv04wer`g(lgX2l29JlRX7? zz0Ri}b#%`Kk^MZ9AphF;&;CjWf5p&?yiN!$qLmxyj#$Dv-R&eWt<$k;N~?V!um|td zcHP5(QJqozzNohqwi5q{A+kx{iEpFZ12p>T&3pK~e7mjLO09m2C^?{285XCH$AC>y zk<&c|FQ}{ShEZ3`xDgJUb{}4FF%5kFl$@Zc>UQ01OQpftme#5_&{J|if2yZIsvFSh zk@!Nj(W=(l%{3>|VGAE^3$3?b9M^kF#?Vk&9dpCYcwfe_!#A?d7_=L)s$uI5+tgnQ ztBS74&*V@R%K(*I_zs+5`t!)+PKX1}1K8M_mg3*eTUrfa!{h3c;a1MgVGvIr0e@Ex zv2@_nMR2>bQy|y+mNaX1f2&?=7}9OY6Tt31Pr|4%RA0* zJGWcob59ObxAfFuK=*R$<-MOv?s>uWI^6#_b7q`5{+JCzcYQSyPkj4^@QJr%V;+q{ zcZjQ6GG{z?F{{Gy7~X>@#{3{=uFv8rXP5-AzKQcN2qspDdnv@ie`V;*`mqzn3Ayea zScV+#{Br|YUtk@#PL+M@Cean7;Zn1@s*J)0xwJgQzNEpj~axq!pZ1_jOGuzHP zsXAJx)@)fif99~s;)7SMPE$A2i5@hdCt>xf(y4~Bn+YRHEIPbG+A~j8G)s5S77+byHeug`@F#nNp{4Wh7*k?S96O^a-0ein`ZaZjZp?I& z2mnjG5ir%G#|b$ze87h*6k~reC}e?x&4u%IGz!8o z`d@)Q<7v+Ey4wr6E>{}0x}svu@4>=-N+;rm%kT+avXW;nkrz-7Yu^Mue+!b9A3r-S zZ3H#SP>0>FsYd-4m(;5_x&&|#Tr1@o|A^w?HIP|qr{1#51Pwc#hH0S5QVwLr+X-aJ zf2ADAiUDTBA>Z^m$gpzwQ9$;1sijp-vD$iTz0X0-vSES6Mg(hM+w60&6C4wa&x?c3jsOydpke=@N2L*Qtjq|Jh{I}w@)W&V-XPCq#DXv(gq zp#FjB&z8Z0T{}JnNu4pOhe@;)`-6neC}xyWw~I4A+v+Ny*w1JQQx`OPK#`l7^|MTB zr@&a_15bl)z#Z{^VZzUyOP>C?Q*tyKR>RWQ>PA(|G}V?#W%}9Vceh1pwHuvIe^V-Y z&e7ddLYtZjaDNPX?Dzt}-2qecCq1*P6NpIgWG0W?OTUmMsi%R-GHzC_-fmTGQ(NQ1 z9Zj=Z7M9uHao@H=X!Lyg@kcy@p^kFFVl9wu?~mN)ITsn+%N4n_^d)NCs1T5Y*) zXwACl#ql`o zK-Rw_XIuvu|Ih!yj>hn>!oI*i27j0E?}%WW4InM7BaY-6=j85nQ3X(xf2Psy2%h`s zv-X1vj6#;g|BrnqUW8+337~dD&;aWdR#mE1xv`mxkm^g%ZWj)x8Tr;^g26G+PE9}u z;RFROb;#pu&VBaBk6;mI&OzUq@juy{qvZP$I!tegeVa9q>g7BSkGA^K@kX&a@+bev z{-73v!33j;K{yXW@())SfB)s6#~6WiilJuUqo=MT+To^<`LiMkGVaWybgocRims6n zbJmo%J=?Y=Zkr?eR7el{Z6Spsuv=nfB8KAEqaoo_9sE=?|k^7%w&^9((MRXt7`RzN$%PM0zMJ~cJc@) zcn#to{Ju)*2ojV8mXY8jq2%5mpIFz!@lS0STFcPO6zd-FuO$8%oA|exROI+<3ML!K ztqB*nH|5?OVFtK43j7hD#~|0-zw=}aV2x=60!5$0sEu;=fAm;{BXEH1{(WMlxUh$# zbE9FmS{<`Yv*H&)=Y905pPhea1H@l zhx;K@7Huj4f9k5$uBl3+OdIGI0kx{McEbAleXxjejMFRZiZ37{HB-}jgRXIEvF^z; zMF59oamKXl?pb%xJwNN8JkQkb&1*_vwW8J<^^Ufd>>v?V*V2<7ckGvo1bIa2N+m^a zE@TpxwRECpOtL?g7KM2j&!byxzU5R8R+#wx+g4qo0 zr%ymU*UnPN-w9BiL{Q6V7%`By6OQ3hJPpREe_o*0jFgp`f1_Yb)m2<{9smyQ;+hsXKgd&hLn=O2IgsDqZKn;v0jF=bTl`-a-U>#Jv@oXtR(=hF3 zt*VzPtUmCWJ>WAY58W$=Pz+l*NJl+rK2jkf;xh_Ekc@&(@Ry7#qF@n@kV?j&rw5{E zf2;Tcj8u>oyz%qk%PD;+gXuNZ&;ZvDnEqNYJ(xMJ&+rJ3gJivFQj$Rk?QIaD4myF~ z`imJbtPy2FGbf6IkxN32B=gLf01%*cvuEs>vq$uwQACa&JXx{M|?$v#Q=px zI;5R00VNT^fR~0p-!A6NbNtH%@Y=ke6!>;}naq;F_m*gmh{ln*^fBV_^En4R#=cKz zA0=74WzfD+t95k4k~X9IfcAGodo)Zb4Ih)cE<)sf@sjnh3Sp!9(@RrAnT)1de?x01 znkuiGza^HpS1E#ToZ|!~sA(LC$~mZlep~@gGhc@IONGTDSc}M^l8=mvR78J4abXRg z$pcoN60VTC>9y1BK0&m4?=^IjS(G4wjKE4?pf3pEmeZ)s0kAV^V^n>fS)8Lx6?=lF+*b=sk&Y|gc z-Nx1o2c6?yge0TRfzEK*^b1mrFTG$$dG3k36Xto14R`TE=thVz6f9V!jU{(Ppl#!? zSpWjGsW^bQm(r`1w$;+xwF8d7G91rNeYy}mt!46wNazsl_BZO_Uz{soe*%XeW9t*j zCJ;eG!7LVYFQ5i`B-6&hwV!?mvwG~rPL`jS!~s*bB6OI$W}9keN2_a{L$>uRVG_U- zD17$C@j-o(hBe%r&x9V+e9j)90TC=Y9!lb1$Z(0LmWb+G5RO@n9-D;0jA1hX%Cm;Q z=)DS((n)%R&qNRVY-rDQf7~eWlaPb((h7re_2kr{Hlv))gKH6#$2O?>QRWGhX9CLm zl!pZ@dQ6KdGiPZNvS!Xt>;!NbAd?yMk&Ef6pe~{1Ao7}54K{^C6MIJtvHJr&u$Th| zl+W|5YHiB^d*Q$%Uk#5GTC{w60hqQivchm7^Z`ouxm=*ff;bW;e@l@Pfd2Uq9+_eG z{y5VKipoH8qLWZ82FAs^?gg^0@+O38wHmd$Ds6G}0rT&M`DsL5I>hG=#+lJ|fMIC}!e%r80-AidwVv&LJH2 zdT<`GL_S^*n&v9Qe?=I3l;ebyL!kZ{me7cB9J0O``@oFK<@dI09n~@pxl*r%I|ZRPX5aCMMj*8V zFS-#{-@nTOpk01Fmu%U^FfnJhoQEi{k1S|^;E0ff7GgLhk{AOd0%JOA^F-4tf5521= zs(kIVDA4o@P`PU9Mysu@C4hj|tXhrw>NLxjL*;!8x26vIw)mABe=H(znI79tjkL0UL|AH*TV%9t zk$L2<>x!l&C*R3K!1*KAOUypKBu*1}(j^H_IW(fO8*BaN=WeGvVEX>;g4|qQ_;SI< zn8OEgWC7;*04Em*7`UFdpaf-f7#IA>d78R*fkYxCq1Blocvd@Ji1)AObD8YOS42fc++_Rl9g*g3w3k=$+ZPn zq{*q+4$alEGD&~^5RY&KnqW3H0ljHy=m1B}e}rEnHfB-iTZjh>n*0bU7*RME$;+i; ziFBrDaS5c2zVOl1@l$@WH{98sp|VCPSAsw72cO=d7mPz^LR(e_Gfs+!Hn=`2sBtdp zdixN9ql@RAIYAPHGX`lW(QtpTV846e!&5|y&Uow!v6>QNw#Tqf@8GtSk6_}-`Xl7{ zfBxG7?@pc;+e>m7UY2l!8<|a(#F~uclESw)c;GK)LoDLje@-Aa0^2$d!D7Y6B|y%& z%iDI%6^Bzfu>VOVeC|Aj-_jE=vYxw9gej_We>x4*u#RLSR&2eo@W+_svWaDd1=MO)Lut#l zfQdVamvSpiY#ex=gSNuINQ@vKRf#wMV_ohoR!$V<7!xuWHrUyAsM$+R_@-*$m2!0# z#(1)SOd``eu%JPlFM!o9sB(CLkJTrX=Nj=VOqg|+|0-FExlP)%KLqS<~ZZGSZTek7fJzf--Dn~$Ba?^7=HuQbL$m0VzsjY4-wO2YDH zr8`r$Vjj-e(M~{Ajxq;a;Z;e68X9gf&ZVf>QFRg#E*&OG?UQH>;jh~xTYs-SvAg0g zqfro&cQ!esrN9+27c#9mh{f4xf0+e{Ux!P^f8l5tr2+wjuXn`7h83tZ!wbZ65@7cw#Ew`a6U2cOBAjWTKL6VVX2~@7?9aE`V z@>VAtavL5e)<7-SWx>~t_`xW|^B;(fQ=4iM@!ql!AV>=@u+JIE9BPu7f2kTbNQbOY zRk(9LbxUdKO0{Oy^+UV5N2Lt;qHx7d4^ka4RwB^{?RNZqPGKCp#nWBiW#2(4x_q82QCP0 zN;p}?<7gIRa?YY$&8VhUf9S&MuhXzpP&C!b|}5%RZ!UneJ`CFW$JyURm>C2&^J z+kkHJHY%*DdwBBs8&%S5jYP4KPMtCrVQMCi$Tmqb+(eBku;USRd`-137%(xyG42XK z&jVoX#Un;ARdkE)l^odvvI3jUiYLc~M{_aPtkV!;Tqeopp&L-Xe+G#PQNfsYyvEs4 zS3hh|l+M;CskHrVWoWc+RFUl1&c(pqvl;Mkx_+0P+Qc$4XR#)jlBL+2Mh5;;>TvBeZSnMl-@wm zr8Rz*OG+Jc&%NT|e=^gd^Da!!Sqj!lzE3&{rho>C8=n|w5vedy&*vx*FxL)|BxSUu z#)B!~FE}w_WNv{71>|uk(eBee8SoZAU;(;WQ{giL1jSiW*O--PqV}xrO!#YetGeAnDGnshqy{|hdLk@=BhZuZZ7G_3Y!y|de~cDgQT2`~ZGYJP6fT-{ z!sRSrr>-+}DMMVpbX*^^ixSE~Dl!paWtTJPQ9$-cz5yaJ#_2pM>K+059je|)*C^hd zA?8o6GPe@en`CFXGoUMyL8;;v@%U{pnN*nP{%zroX~?ldN&A)kkuq}*LUkjdXzvm* z1w-~Sly%lne>UUG4s{htl?l+tU2!;P?qZ(%lW-})7aL|(@2LBEtoJ@f$u#MrWc{tK zKlzBI1Tw2_yQVj*2hZfA3VHeBWI7(On0h5;LEBP($a=eDsx7-+#8Az!Tbj~2sG+aM z4{0W5lRU_|Q42=3=IEm+P}5e|16iNx#nfGi(XA{ke{}-*2l@;84Kdd1Zct?&v)i_! zwKc+DS>?-1ZWXq(15NOarW}hDS7(9;sbrRT5*yrIm$B*@ziK2<8<0KYbps z!8z+$-_HMHG2Jk%i-CS-SY^14+HTusyCE&NIphaE4!7y>ct0Hi)mlSSY-KIAyRI2Z z)1+e=4&w0O5O@xU0QP%No2F;2atWRyJt0qF<(&jU`uM8*u29gi8XP0TZ`69h%FRZ& ze?|6|p)I9Z(=6LuvuEpdvr+9dv8C^Uwhs2JboOk4(ALb^0;TLW%JM^$A(VQpuIWbe zka_p0gd(3!CR~Q{S!Q=M!U_~3vkfLvGoI4EQS5rHR@K(R_gbp~7wg8$Q|_S_<1r~$ zIs<1-hfG2gD=JAv-Z-O|n*>_=)H<8Gf3H}pT1`W<+H1j9MXwqSt%W3W$ZdHZERy=$hJ9Ry7uBt*W?S}JYEnb3R%=G9csxO}8|kGSFRHbacH5SRe;2*L z4&~Ti3Lw!WJ_j3_cw+s8-8rYWxUeTBPQgj<(EZ-Vwp^HcTxLJdF3P!_FEEp-w`3DewaTJ< za#UgbmxJcwd-gACU11KJ;eE${?jZ+e6b+$j8!FQwKd-2mPTj#^6Qg%2qyDs1PH+LL zeM1#~rNghx0=iDO38P>w2{6e5EbtbZgea|Be?c-v&fFIBN_a3Go57C6e>q`rH|Iyk zn*wIPF>?DR{z3S6k3Qu4O`SlM`$=+S6Td=bK~&iy>1RA6q0NH!fHlE#7hxT>R;C9W;z$Ul;Hj+KOWy)NPNM=E{ny~cAwi!ykz1ubxo ziK~m!Ymx$7NETvwD7_GLf7C!^&rJ!eq-8>Qy+YOiILxp)7}fofH*kzK!lVVPG!Ri^ zO3o^Ggywrv{IRBi2(%#-N=ZLgTsk4cKZkpB$GwRi(d*aVyyhQ%w<9CUP_+&@!1A6l z&8}*VmYuO0gw(-n|6m-do;0NM+85f4vHt3O89=c0*wD%I%>8j}f6iS9ueKVE^&C~L z+5tjnn-U=Wp=$L}Vz_d(BirRV)F~FbPG*&SCo<8&osM!_9kZ}^ZHjV7(%nrnkZZ?4 ziTlMmi_55zGzOwvvm_bihIYFF^>*X_luUj~w3*L2wihj=&_s*j%#G>yv~hniduEk? zlZ%n9x+78FQ=az7e#=hn%J<+!HNuG?CRc;ky;+J{Wxs<3Hr zjjA>_SHg}S01MoBy`><1)JcS?j4R@KH2ExUQ&aX00njhmC^nde~0F=YX_SLgPuc&V*c|V z|HXPs$7dG-eD}O!A>(#EqS>&`reSJF#q!;T*|eLvGqn$T#GUjA`D$HcYLo~HgAKPh zxrRjXbwF*YkdI$;?~1cGDtsl+LbUQMY*R4`$z+tgx$1z20wshcfH6AsN_0UjGK=jL zqt+BtY1qu|{9`b(d| zISsk&DU0hCfmHC*?;{%r@-+8N6?E) zb%~il$TzZd?p5~(dQF}UrFmGZUj17~O*@p4#}_zSeuy&af(lxJZPwQs?H%Ii4^tP$ ze-e6`1P+}60^uoZUefi^8BL|^zqdM)Gdq?&64Vd{U?F$=7ZD}2X2&U#9xwvpTKGaq z0Q$HREms7cZM6TQY}ukhu||WWV9cB`FGNdLQxiq*_-a5|KJzi57H^ye-Z-OLu<>Ue z+)wbv3(0|PLTw?ff{s_j*<;yG38HP7e+|2B)}=iW@wL@iG^MMvFoO9qq>4GjH*9L- zd3+QvK*PNV0}u-FlAT}sfd5l>UPLMB;9I89qG8%K-O|>2Eg!_x!^D()g%+zuO+6kv zE}j_MLp0yB#4pP*)LLh)dtJR_S5iBY^0kJea`W4wfAO+K z(Gq%AJ~WqD9u7MqI|EfBQfH=a$SX%1R*HkYUB`Tmc`iOxx5l9}ii2>sQ3H?g+1v}3 z9F#4rJn^y8;;(_bnYttK@#%|r8iZx0EAzRw<$xZ|LFJGF>Q{hci;&+6cbc)hfKN@7 z_0Fu+LxN-W7P$@ru-pWm5iJF%f8?d@$c<0k3i~|xatcGB(E^w@pSmL?NIWMxcCz9w z7oNr{uCW7Ky%L4ee z|Caw6d5Z`(6U||t4mbz&ne%wzZhCFu<#H$C$&-JVmBmyjun{drSWOdzf|jY~Q(zVn zC}+YJgoi>7xHVpkvecS{IMG!IzabqH@i{T<8Br#>Re-pV6vb_eq34cZJ5$$UK!u&z z<*^&h@l^W)ofhEsZmUwPe<>ZStu)t^;)4nIFr`?&8n?{Rw$B!v+U~S#P2+IX;iDq! zK3H&sz4G8{F-5bGwL&g8BiZPb1?BN6&a;ez7MNG7QRa&0Jbn#gdkMBVC0Y; z=Tcq2&?oOQG~SQ;T&9|$HVB&Os9D)2%f@ltU{_FFJ1BgblL zyl?>;!j>PzMqg?B43aJD_dtN;gi3?<~f-o;7oOMlC ztlD1oG}=e{sY*;gpMqe_YzOOsbC&16P!D;lDv0@)xQ+>~qau!!T&{W}X6LOI391aK zsC8Ra+s4}Af2jvjc`8!T{(QtvyFk}J6@wYiC#Tn%;8mP zWl+f9N(@NM-O8>X>3!DiA63}*?%?zJ*8xi+kU!YD&GfS$>@VFjlMT+9aoV-c2JE~? ze^&>eyFHU#08jc+Vcj$1^sCuD`&3~a7~$-Ez)rhgx&sRztkC_|e_r&gejgw2ez}05 z%=ATF3$EJlnR?8Ce;A+PlHTc_c5w#1%}X}C+G57}my53htH&;S=bw7|mk+FZ%&DbJzN2rO$j|wPW@lUv-?&2Ub6Z-;J+5-JscZ&)}&qG>U!-^Mpaq1|L}C z7{GG&7JlskoUAW&v5CWUtpT&n{{8%ixYjymgYMuIUeX4D=)J#K>DoUqRXN55f5SRg zmjG=2uel5QnfVUZga<^>KK;0`nBMF5;jPT>oqvHTeeL18ZFXVxXs%}lfM#{sv|wd$ zH;nSNuYaOzgPv|$Cn*l-pL!PT+TgQ35SvSo>a)%d+8BE8Is0;McI_Xm3qO21KR0Rb z`&RE8>_MOPKkKKbgee^h-Ut9Oe__wQ>)mg#sbZ61HiSh4%RZu!xq4;#o*WWw8xp4R zS%;mo_3kNr6$5vB4A2A?(uEyL_Oy&PR#S|&ZW#}&c78f-tlluRW=-Na?<*5q^2Mo6 z(P|ehw1Nrs6LCE^Udk@I60Tx@?E!K23fv_n^8#(3cJ&VE!8geyAp_vrf9W|29Xny0 zz(vvvUr`<)%kTBRULc3Ti=D5)CxJIq(jm=m&oTyhyHPv?PihuN+QMRzf09=htK6~6yJ+k~ zuG0!;j$&V*ifCB0R(jwJgDakY5%w~oMAA8i$g@1s6V~-bd!WJ!vJQp6MY;L8_$76x z(rYfMuqDEtMKK0Q#EO$r7tgsaql~D!rW&oz`YA@zxP~mN*)SXHZSoJw$P+0e@?`?- zXl-V4&skD^QL@o%f6+bpm|L;#AGyI0c|^9NakipyvLXz_OctTX$FZhuw9Sq4)(<%LFdQ4Ma17bNe^;MW2*4Xm@CZb$Nrd01 z$R+??!yP$vzVz6=a!G628$?WMWgY<)O|7cg=7HTkDk_eS8Aen=!Hw{&ZO*Pift{lG zns_MODvSdXP5-uVe&S7Zo;GUUZUl4WA^!er?gdHL&rnp>?GJX2oEU};gZY$44@Xg@ zW3;uFEp0~Of8O@?wPr&nybKTn`5dgjSyIRjXo=ArSo!{-94ssj6SMN+C|6V4x}rA^ zeL5c%<@hn{PlGVV{^1~`NzJc-{XRrhA9jy;VdMqZnbCo7HwzKj7be_uHy)MzyD@;;2Bg9Hp4 ztT@U!NN!0<9byOqxhg(1aa?Z^5(}PDvvwXX@jCz8!lknzDl1%v_a>bHLQcM4csroU z&`Tzef1i{%|53PyLl_z}+#Rn}GI~>ORCP-^7{rgs$8zTl(J_9Wdqq2)NZtbe2wxpW z1!6Z|V6g$acJO}6jtRL3)23lkgj}^ZN|_(!{RjtN8bFA6984yA)QJTQuu-tsXq>fQ z!KKVzT!mDCB%KkhEI|clEy%r{EbB(IsoD0!fBF$TUCg9WH`SWaeppE75Hl%>SGALx zbTN~`)D6ef1ANH_sFOKKXf!*+2y6I34tYg%myc*M9TC@YV=wcKemz*I;$UCYz2UHl zZnN(Umiyx%jHo6rulQ6@Il{hcMd_LZrm$o$^zqo|tVEDHm-!%bao_36H~QqwxPslrbjFQe2eY*u4tY>zZ}T2eA{>$28+vVJ(SgW-WllKGt8EujG{qLH!yeh z9CIOyfX?Z~I1S*rxom|>Zn*&TZ7H>-fAVDSnf;l(`z5mDFwe7ZzB+*|X@)8?x0^Kc5fB=+4Wjx3vf$dCY zG1v!+YFW%sq(X|;`fYz>e{a8J>r{1<6fHTjb1~)B(`00c-R!QeI#peDid_P-e`e;+K8b4mLCdSn(E#~v}4c;5&WF&FlwUaqThpeG*%^GTVNBy=lbeCT_D**@Bz?oMt%UZypI4>tM9J^P|ppe+s!106<2a=r1*MW z8`gcgP^Z_MV(a!=`McMyALN-UDbcW~Z`t^+{GjSFaHI(mDVA>>U>@RNX-CAk_`0HSCRQhl;YFvLo#Z7D8Xb z$AI-CeV?%td*Zzs;`9%Z?4IRhYCu-_5%EXZ{cj8S{kSXdT6;9Ghvk;sf3nVg;Pu+TnR@3saM zt{?F8$P7;r>=X%*V3rk)1OH0Qk`AW{iD-$#J>!-*cRrsa~K`&OUyq0K) z&Ck|@7gQ)G9=T-j67^zy^8)|1#%|*8l#*Q=*iP^C8!}ABS)R|LOfo zY@0SxRgqqD1#OOH8J|sGxNtm()4@%$ql6C2p*gaiXe(ay9{I|hD_-C$cwU^+n|m`i zMtLdtW28YjM6}S`I}by_Ik}RKP!lGZm=-6uf7Lhjmr`j19@-l{86PPXXx>J)-o3(A zf9}IBIX^g2LG3aI=QSlVhD&DknTi<7;5$VwgP3LA`IEwsx)FA|I-{b*@h{ ze_f?|?S_ZWTjvd@t1$EP$EVVGxH;^OdQNp4Q&hU~@V&E{i^X2$3|lec=-Vn7g%ow3 z?w*B7fo}4T)6oBtqVf8*3L-AyCZ~TPnem)$X`6KX+qEMT6{suSUX1fVmq0r_wZbK0 z9w^rpJdy&sP%(q6(g~<;yqv=|WfZ%AfAt;d_yrfzx!y7=bz0bbz#DD5ciM9f2J9yr zuL#nnoNG);A5VN;RX*J-@`81BLEEv*#%*NH+YJ*Gi@8fU%;q8kKQe^;}}3YAFk z-(j@c{)D{|V;@V&u3fa>(wijUF@u=sU4PCRH1Ihmm3b%dM^TuNBvGL2+UNe!z|&Eq z+h}yEN{e*bYW13(c6Diy_BEtmR4-a{l1@-+afcxcnc39iqD}sar!SJAZjkD}$^LR` z_SR=YeJ;|Npaj#FK#}5)fA}?mH+g2;S_Efrw9~q=*lPuqn^RUnD?)bJo);k-c9gen z6%uX=)zn`yxnd-B#MwtuKwPl6V)6);DW6$bSbFrZOVD5F1fwmI#2S*m1a?39>O`?z z(?}jlhasu7RjmwC5!_3xAKbKPHYmXIYFwUDGSCh^`7Jpyrat%BuU;)#ga9aV? zlE-;Tx?mojKyiC8D=ghufuUqdlUO2k>lf?jYa*X4R1j1HNbEG#$3LF0k9A!U7Tmq! z)HvRh4CRp`ADc6&7?g5Cc$DjT`tvwKav!n`Knd)zFfwJpLPrfqNWhy#Qo{6EMobcs zlSGRLvrQEQB!79ugB|()aYiv!$O8i*6ZN4w;_@WW^@I`GU8In}IW_>mmKG<4JDEQ-n9E0O}x)UlNK!M206 z3y5l-_D_3_dUday_Oz+E3I1cV;2T#q{sW1DT9}k+=IpB^uY`aq%FZ)N=&lc%%(Y zX({rL@nGGGXcFNFW+Q_*8J45$CTyAUD^5e8FUr$!8nxr8S@~8mEJ_FsLPi612%BH) z6{ZTp{C}}R@F;<5k#a)W8FyF#<*SX;0CFYA| zc3`C32MfxiGURZ;1fxP99QAs2bwS= z=YQQMR9}}Djt5ug@(ec(C?oOHS+dwO7|SjH#%-5s15L87LsWR%5~1F9fX`Tcvph*H zHO+3}hx%D~8L&hr3S>d+lJCa?DfDD^G4Tz%-DuTYxL-J!_y?d?*piRfrcw@@sbMru zNCcUp31#;R<)AAdo7rxCa<-`^7S(@`qLz7!l zCdkVIhuBe_+#Xur+1-(UEw?+l7PX$!vqy*SO%K&Osub(=06GclAQPU2Aip+RD>J|4 z$c_Pq2&6CrD_=C0&?5Nw2(%zUMzqXE+YS3DN$@0m6B2;TIJ2H2$ivgo@h*rX_LGrC z9|1~}u|*y#i-ih~vqlR%BE4cpmb-`&<}$=@$LRl(p@c+dACzmK)n_`*Ktf<@rUR4t zMIsFWJMDD)y}_WmthiM_lPE?X0dJE?Ml63GVu5s+H=`>zRLzHg=a0bzQu0dR1RchdKmhmKC5;(RtSR{qD-ODt zxTr=X96(i|HZ_J{lo%fkE&*8z!76_-R7*4g%-QHO{#6NSoM=tQl4=ybh%4ST6#su8 zQTOFaDcsNLpG$$YdDczB9kxXC!lM?lbaucJ&1qy|$N2(|XNI+K`L=?2c6lK-t^~8| z7H?JPpSZ=6;poE|XLD=kQw;0Ojpqo@B++!a!u1E=MSW#Zp0TzyPSN64T#FTVcXui7 z?(jl!9^BoX;!xaPC~ifI7k77e|2X&F`Of~aCz(w0Yh@;D%L?BG`HJ@;8gC}+Jk#qz z>`(^an_VOAe9B((jP<9d|0!6wkA?#rd$Guta|?g-nhE_i8Rf{mqrM_gNUs+A zIwm~_HpHNjl(`mRl^?@fuiRHWyQ`f!2TqxP%T`?< zgS3~2p8{$Y>fb={_Ug^veO?U)`ZO;QRP$W)X?pM95DoP%LfqGs23WYxns?fCjy=Dd zxWhGmGTFt4>23VHtb}(dcLW!Vp0d;Wji>kq0I@3~=5$?Pi9llz_@C@rM?ZpIPq`#~ zN~u{sBNUiHW-$nYu5PpSaxqG@<%c-}c#3ue`6=#$g-iP*a6=}Y$yo!6Rupndb~MZ& zJ^Y5)Ke1o{!Bl_0&COjpfUWrcFzdK2JET}o(-r02HISdJHPwdb{64}SwclH<$OSI} zB5PTFp{v&CmNXTJ>H5`+-(1J%ycD23sD~ANvEO-Cq`K}4I@dI?b zojHDOGiO|~0%1pr9ee*WAP#>*l8|-)ljeT)!V?}$hyvIk?@A~FUq6~0@!Wiq?us); zDGKqPCiP3{C!BvPDryaH`!|ncLeTRL&yjAZAe`j9(uIJjyrx5%2g1*WR?>EV<{B9=v)B4S4OkY)57 zPJqRkn!#)&pNK9;-{|HzP#$>8~8}n9nX&(?o^8I!3fak|*wMGiwZyqOtG0Ccb-8&n)FIwvb zUe$lH?g8%o^YOI~5qcPEyr~E#jZZn#_I7Q%H@rcBvEQ-l1?O4A z#iw46kla?94Vg=tl5Bm5%O{$V_rehOjpygDAf zo?_!>Ho~ECjn5~?!x?cfqbQj%4$bc%%XYTZXSzu5liOs_|8FDKu>-ZKFnoSZ?qE`8O1k*BPZXqFO*wQHkJvKVF?=G#gjZUV&1t{Zp z|HN@?#ETxdH-N}fTJ4NG zZCO~NkbN5PI#|A0h+m}&ziqU(NzI2dFhEYrZ`tm5RtW%^HN`(tTe=K-dW|*l{DnK% z7x>G_jnn+6?8ZtuBeY|T2QqGI-R~B-Q&&IH2KOPTS1ZC~bk}-(c?W`3Q9(bXk7b{}xy(eXyMae{Pm2r2A+UAs!6BsnkW z40PVT-aB0q2(KO7p@5X@bP4D2JaUguvr7wCuV(pJ%egN+M}p1Btb!sbi^*jyI=eaQ z-4H>4Vf6!tzaipuWFz(&!SxEp6!5Y?J3sVd3Au0zho(;wAl!@QVhm`gkz2X8R?Ql{ zc>_egt%WTk+>?k7RLt&(TnP*+zH*u7wQb@Bh1eMBnU@dhm(>`92>xG^2QfS&4Vc%6 zD4Q8dhN%9Wpk#2Q4yM$@Kx^<-FdPz$p&;UtO+qU*$%_hZKM26>B2RcQi?%Ex8;y&| z<)d!)fNK|#IX_p@bl|(D=DUttyePt>hyV;|cd}}S@Z^vDCEBQJ3AE7@4HD!JB()iV z<&PpoPOUnUDcd@4-A7>elL&r?JNcKRMLu zzns;^TF`kz{`49(4-msXg~dg}F@6&DZfH)g6TVFxWPsa)iB_Zl%LIS=iMglEXa!JX z!mW{)aNw~Awc$}HaoG+-5xJ#}2Y18f38kz)Q*CbvF`)gVsPtdJ+pK%Ri#9u9R!Pr0G3b9%>dV{F)Q+ls2$=W94(b zxkTjsMh|fa-fXm=Z;mB>-4|Yp;s&7VTreoNoLD6QQ4tc+($-HPmA&MiM!hpg7Z{Zb zQ5TVIVLsBpar_AF?xG@-7`6!z-6WC93H1O z`Dg*=_JA2asGU3$9~d86R_fc5;PAFg76ZC6E+#Ls69K} z6gMj&&nJsIo?Kpu|4E8&=mKDiQm{&^EkH9te(e7^pp=8$rz2<)${j+$p?i{&zjNqiRL;M zrf6OZtTBlkEfA%$&Ikl%5%D_c#<{c+rZ}5iMza`;p|UXaQh4Rzqa|1yrQPEIUWeL zRzhnlg4T3mz8%u6>Q94&N7SavbRylIG8+<==;abkTl+^8cVP;C2ivoMs&d=bi2!9OnOp*;-92?twcp93qxCYIm=W)eGJdDI2i)A!nN6tPYm)9NMhx z@%88@S*Y^b;|`z&1qePN+bIyx?mrt_T;2$Zzz)h$>>$3W>FT>KH zf=MT?kPQQ1XcW7SKK(FGxTtB34sq5C9%6o_bekj5Y$?{$&Reb~jwzy}7PjS%Y8<9b zACV?f&M%_ds}OTAUFBV=B2DhUUrndy^%(9yGIq;Zp9bFbw$^Zc#FZq*>lhJe~bkEavRINNT>+cJawTt(iyITw{@K2*>xv@^)BvTJmJRMx{xxkKlm3bTBRgc>nfUhsVX zB{?g=QR+fVq50U_4*qh=hjA^k2bbO^Oj^fa@X%{r5DM82Dn8;^fkoz! zq*fOv56yWX0Ez{XsDm*s2KDwym&^4A1!$lgwvk_deK`o5xfcZbklAPMoEqZIP8f@S zl`Si}ls`{Dj*(0@_d?Iq&(dml-~VXlhdX=`kl?hC@$w6-8d6oC|5dG{-}^X}xLeY! zPTZ=-cK*^omzH@qw#z>_4@R5~h>E%16;Gm{stWexl!Bhn3gF4ne6%{KGZ?!i1EzG} zHnQOgxW(dRjFyR(z_yQV7*@9mAgiWY?v7X(RE9yj+b$$(ZABt!I_v4K(0YmShRuJ! z)@t&7N<>e#<|%QD1*)fOqC?;*QU3&X*YiDuc5|CCYjtm(9x86+KsYN z$`Xz7%UvbWUI8q41tuECAfFgxkmHGhxzt)=yoKD7{ViQvf0SaNb32H3C_E5Q+@J~H z1r$FfLK(uVRibJ_q+{m+BdiJytA|@{ZDZK`xEnU6N|TrtcVvV_*fmuY^>C3TyBhL? zU^c>=u)XRbuzp|tnTV&HSyb*jNrG>G(Ses=&Hh%opKKP9=OYYL)m-iVeGadXHfU!T zv)%5q_iDAJx)_Uo7uShbTYhKBWqloQ@B71#eB!om<%Ppcf&2|$kZSA#h)yX*+_M{?;fJk&ExZ}3S|hFA@+-+iE9 z>kmE+g(dmvkO0drSEo za7j<1-I1?1woPq&cV}O(HoBvCWvf+OF$?@W5%B)t|%T{CtvzrDkeCa7y(FE*QQ8b_`PE*xFk<33-5ejSD zXCzfD7#Td2b^^52HxHcg7&(d{EI(%(XQFQ})R~Ka7u$P4GPo6)K_^|mK+`fU-@BuUFG9$lNrcnies&Af zoyq;y3j)p*yOD7@LY*FYMbSMtV9-myW2S1ACGR@xsQn{2jghB&m)Slqrm=iKQuR(J zd;SOud~L;*Z*|#Us3kPXFB)rcpwo*k?lIF8Lp`_OBE5R*5S4-+-8DQt+$0>q;THG9 z1`_g8x|E`OA!)YIV+PR>fEsOj^!Sj|-a^qC1i*p4HsGJX_2=53c1C?Q_!W@>*1Gw* zeekK0V?240`xl(GQ{94hn)2{QYH1eVRZ86S>h7sx&H6bb&LU2DRj%ROF>>$@R|gR{ z!&JOirymBblvx_bq3cri+fR6FU za0Jl(!EpLk3B7JQS#oWSg%!bPEv!`%L8gcgL!I|CsmwY^}6dkfns`{z|puBH8F<)_QQhiIrvIkepaaiS-N^lM*nuTM%%w;&>gm{ zq}e||R=r#VK3y!^y$R?9ziYfoV4t5BT|*mj0ZDZrY~bB+t1}o10%8#n;=fv)<_=~K zj;`jQ|7dYmrmn^oFk=m#b1k})cFaSOw~FVavovHZ=j6a2B-4#e#x-i(oHk1cuJmw( zA4~M8jn};A=7NkaUCn;Glgg^~q>+AfvQrR7C10&#w7>h|j|G&XY|)Ou(#ScH69D{G zoq#SD!X_2<3{RLx{=HLYECZzG|K~PrXqD z^6O6?4w})MZGxb$?}Q&wbq*L#nRDvDq?okBG}a2}a<$+2%U`5ox{`9UUn}HJ^Pf)M zPwLSEyezhOuNno7rbk^#orxEH!N z1|j+`1>_fEnk}>#DU$zzsxc?hatM6L9(l`0m8B|lFDmIkk>v+cuOlIyb@OAzy#E)e z+moENA`^ml8h>GhOR2}s3WDAA@~kKf+dhz5is8LDePG%+ z53a&KgWg8f)KCV}B&ta;uT#ma+lcyxk7JQS1YIhIQo}6SR_K+wV>ne-irc9Mr_aWh zKmu@;OH33s(tl-i0;w@*&4QukcCJ8J$rCciU#J|;W(f*!d3|E%n0_`c-N!o5Y2SjX z@8}s{n_xOg#;7lSR?|7nqV9hGPIq?Q4Yjat(dnMHkkY1%O&PHbtlJ2^@?C6L?~J^! zv5wm&4d0&vX8kn&#P~Med)>k}Oc~x{BBItft3tv-K>WiIVO45 z5#R{vB4JhM6_a=0u;d-NUL2XI&i;Sd8Ek!<@q~M0%Y&^10!H6H6{eX!_Y%Z0Giu2k z?76(`e#;ZzPKXarEi=I~jJ?;2UN?As9L!pkH=-3$i1rVR)YjLFZC2%MI8?{d)uV9k zA&`gEV>6&Y$n#+Xs?fU}vt)XX;AHB_u@j!lO|^SkN{!RDQ)jf5SjuI3GK_4?t{jnN=18 zVg>_@TvjV?`dYMFVK)vK>4T}d`{;v>XDFY0wSVeH4!T}|E5Yw~UZ{P15#^1+d1rBf zK`aI(Pw7YA+>LIr*odZ}Jfyu~;pF<2&d5LbSG*cv=>kTKFgn%f2RD&N_*P%vzX@dq zsvJZ&0hQcatGOp~CEqOOzl?C}XrQ4ckAKnxiVD>LvWO$8&zFU%75vdP8_^FVS^`UB z1b>khzB+XST5}%1AigSr4P+?;OsEO;G@klt>7u*09l)Dqp$#VSR$s{Ou{$NO_IZ!8 z)iFSmzQW8xT+Mdo;lFIkZ(z99)+OjEE8r7~#cPkr(%BM9aOQ8KWLr}0SX9F{GH~pV zvGwWa$)|tLCxV5>5dJ+Yv;&d&$Tlwx_h`m7)FhXuFORX%!o0hXlWR2o4qxet}plvnqfl; z`VS7Z4#auA2<#^{Nh-@(VdeTb`4T4rEf}= z(d%IV2)G(zBLqG9TUwo{7B&S7V{_3mBb1%HrG{u>ywcP^_Mam>Hu#$VH2U0K2Jh?f zPG;l(Xx?;gJaN#}aE|v_fG%y`MWL_L$xr=A-J^JAXe8QqMU9+ueeB(VPFS1$;trER`I5GdLVY4##3`!H~netHFM9KWlCiV&%5 z3}3s31s)!Ta~4INeABMGxYx3Zc!T zR_CN-s#jQfkL3a_yoN1tXhhoqxyAu{T_tl2CIv>DCt((vH~K)Xsc81laKlhI!wNTW z26BsSaoEtxN59Nw=A=m2UmRFi`3DMZxIn1Cu(xy&<)4sYq{zZ;6)^)xRC15UNbmgt z%?CfkW@%-hXNVC*v!M{qkH>f-`OwHk*M)$0`z3|)i5?Q_PV9plxR@4>-P8LKdq-GCGuHJ!uHflzh2`5< zK2Rdm|2v=wnFYtBq_-+!;os{aj+$0Ku-}2^(}P^k{=UAM@JO+K=uDWNnt^e{1{PSk zYKctkv|-pd22zU+z|8fG?aa)}JLO+~*!P0^m2h%JONd}Bbp+Ht^Sc?kQ@8;vu}9C z`?YR~TVT)X?;-PR03rw5{ywpMAP3bpyF4LR}omK1|vPXBBI+|dF22)V0Fv1~+jX#~fetAk4V}|z=F|N)oqpO=8D+a* z#e5_?>we9D;H|nW)a?fkLWc73;MDP5s!n858XPHYea+ClTWP4Ge6LwA?dvM6 z{@+XhG=#DoGz=C*(yHO71~@NQbQngq3$2C^HCjl(Hi#K3^c?>AbE3DxKR@(;y_{Z6 zqKkxI+K`5=zm%vXR?Y!JO*U=SxNXSiAY#QeN*&_szdZh&^~x+)0)d3e?P#i}CO4_o zE3hun=CZYw%4mfI$U4;b?&wUkOzd{9DuG~(=$Z87{PyUnwWUN8y9Z(yi}p}ug%(EF zaXS$3k57;F?avQ?Xi*Dp_)(Y!xQE;A!{d|m*UT^)CP*vAkh{=}Oc80$j! z+fQW5)AX9j#4r3@tRb;y%B??T&m+z|7^F$WaCfX?j73YjbQmj1O2po1tch8!Sya0{ zwD}VmJTg1t1#kN!u>Wl5+1=!euYWk4stCrnszpea6_?x_NSzdF*OP;y%Q_8d;}rn3 z&Mw*4F<-;Tz0**y=i(ve#PRRDF_u}-)+ze?_r|-{>$KboHBtduI;$X!Pgl10rPw}{ z;=3w~BaNhv;l$8&8s5?_t!O^NTYm<5hmTzZF@3sCK*}oCMR&uCHou=pJqE(#$g~Qv zRc&{13k#Jxd$#KCbzKny_h|#qYN3Hw0+d`cS`XsLiGhT$#cWyR_!o($I6A^ay){?r zLFJRi`r3TBA<0FUz<26?=rV^EU31p17n*Nuc(A=!5bFyAnrf8Gl}OzRtx32?e{y@V}VJAm(*wZ;ricE-m! z-jr7#IKN6U?okw=0!m8xSNE%-FGrhH88L5vHjHtTOY;Bjj~+{O!YpPipd)c8xMhz> zhr_puHR{7Y&7bBA?!Wj>R?S{t811_9&>kM&AN-x7i)NB}gZUq>N}aF@h4^2U{v?!S zB`6%NB!lE{|HohxlCdEZlS-4RVE>0dBu#zHWs>%j1tDLOs8a+!Di+K>{6$j1hc`*u zND+V{w@o5R<%jxhpHyp)o79wo_T|6tx&LJzA0GkypRFe4r3yl!cqOf;@